Sciweavers

6 search results - page 1 / 2
» Design of adaptive communication channel buffers for low-pow...
Sort
View
ISCA
2008
IEEE
201views Hardware» more  ISCA 2008»
13 years 4 months ago
iDEAL: Inter-router Dual-Function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures
Network-on-Chip (NoC) architectures have been adopted by a growing number of multi-core designs as a flexible and scalable solution to the increasing wire delay constraints in the...
Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri
ANCS
2007
ACM
13 years 8 months ago
Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture
Network-on-Chip (NoC) architectures provide a scalable solution to the wire delay constraints in deep submicron VLSI designs. Recent research into the optimization of NoC architec...
Avinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri
ADHOCNOW
2004
Springer
13 years 10 months ago
A Rate-Adaptive MAC Protocol for Low-Power Ultra-Wide Band Ad-Hoc Networks
Recent theoretical results show that it is optimal to allow interfering sources to transmit simultaneously as long as they are outside a well-defined exclusion region around a de...
Ruben Merz, Jean-Yves Le Boudec, Jörg Widmer,...
ICC
2007
IEEE
140views Communications» more  ICC 2007»
13 years 11 months ago
Performance Analysis of Adaptively-Routed Wormhole-Switched Networks with Finite Buffers
—The use of adaptively-routed wormhole switched k-ary n-cubes has been motivated by the high path diversity provided by the rich topology of this family of interconnection networ...
Nasser Alzeidi, Mohamed Ould-Khaoua, Lewis M. Mack...
IWCMC
2009
ACM
13 years 11 months ago
Adaptive video streaming over a mobile network with TCP-friendly rate control
This paper investigates the performance of TCP-Friendly Rate Control (TFRC) to control the transmission rate of scalable video streams when used in a mobile network. The streams a...
Ktawut Tappayuthpijarn, Günther Liebl, Thomas...