Sciweavers

7 search results - page 1 / 2
» Exploiting selective placement for low-cost memory protectio...
Sort
View
TACO
2008
38views more  TACO 2008»
13 years 5 months ago
Exploiting selective placement for low-cost memory protection
Mojtaba Mehrara, Todd M. Austin
ISM
2008
IEEE
111views Multimedia» more  ISM 2008»
13 years 11 months ago
Secure and Low Cost Selective Encryption for JPEG2000
Selective encryption is a new trend in content protection. It aims at reducing the amount of data to encrypt while achieving a sufficient and inexpensive security. This approach ...
Ayoub Massoudi, Frédéric Lefè...
CGO
2005
IEEE
13 years 11 months ago
Compiler Managed Dynamic Instruction Placement in a Low-Power Code Cache
Modern embedded microprocessors use low power on-chip memories called scratch-pad memories to store frequently executed instructions and data. Unlike traditional caches, scratch-p...
Rajiv A. Ravindran, Pracheeti D. Nagarkar, Ganesh ...
ACMMSP
2006
ACM
252views Hardware» more  ACMMSP 2006»
13 years 11 months ago
Deconstructing process isolation
Most operating systems enforce process isolation through hardware protection mechanisms such as memory segmentation, page mapping, and differentiated user and kernel instructions....
Mark Aiken, Manuel Fähndrich, Chris Hawblitze...
HPCA
2006
IEEE
14 years 5 months ago
Retention-aware placement in DRAM (RAPID): software methods for quasi-non-volatile DRAM
Measurements of an off-the-shelf DRAM chip confirm that different cells retain information for different amounts of time. This result extends to DRAM rows, or pages (retention tim...
Ravi K. Venkatesan, Stephen Herr, Eric Rotenberg