Sciweavers

7 search results - page 2 / 2
» Exploring the Switch Design Space in a CC-NUMA Multiprocesso...
Sort
View
LCTRTS
2004
Springer
13 years 10 months ago
Spinach: a liberty-based simulator for programmable network interface architectures
This paper presents Spinach, a new simulator toolset specifically designed to target programmable network interface architectures. Spinach models both system components that are ...
Paul Willmann, Michael Brogioli, Vijay S. Pai
ICCAD
2002
IEEE
141views Hardware» more  ICCAD 2002»
14 years 1 months ago
A hierarchical modeling framework for on-chip communication architectures
— The communication sub-system of complex IC systems is increasingly critical for achieving system performance. Given this, it is important that the on-chip communication archite...
Xinping Zhu, Sharad Malik