Sciweavers

171 search results - page 1 / 35
» Future Performance Challenges in Nanometer Design
Sort
View
DAC
2001
ACM
14 years 5 months ago
Future Performance Challenges in Nanometer Design
We highlight several fundamental challenges to designing highperformance integrated circuits in nanometer-scale technologies (i.e. drawn feature sizes < 100 nm). Dynamic power ...
Dennis Sylvester, Himanshu Kaul
DAC
2006
ACM
14 years 5 months ago
Are carbon nanotubes the future of VLSI interconnections?
Increasing resistivity of copper with scaling and rising demands on current density requirements are driving the need to identify new wiring solutions for deep nanometer scale VLS...
Kaustav Banerjee, Navin Srivastava
VLSID
2006
IEEE
150views VLSI» more  VLSID 2006»
14 years 4 months ago
A Comprehensive SoC Design Methodology for Nanometer Design Challenges
SoC design methodologies are under constant revision due to adoption of fast shrinking process technologies at nanometer levels. Nanometer process geometries exhibit new complex d...
R. Raghavendra Kumar, Ricky Bedi, Ramadas Rajagopa...
ASPDAC
2007
ACM
90views Hardware» more  ASPDAC 2007»
13 years 8 months ago
Recent Research and Emerging Challenges in Physical Design for Manufacturability/Reliability
As IC process geometries scale down to the nanometer territory, the industry faces severe challenges of manufacturing limitations. To guarantee yield and reliability, physical des...
Chung-Wei Lin, Ming-Chao Tsai, Kuang-Yao Lee, Tai-...
VLSID
2004
IEEE
147views VLSI» more  VLSID 2004»
14 years 4 months ago
High-Performance Power Grids For Nanometer Technologies
With shrinking noise margins and increasing numbers of on-chip noise sources, power grid design has become a critical performance determinant. This paper presents an overview of r...
Sachin S. Sapatnekar