Sciweavers

30 search results - page 1 / 6
» Improving Branch Prediction and Predicated Execution in Out-...
Sort
View
HPCA
2007
IEEE
14 years 6 months ago
Improving Branch Prediction and Predicated Execution in Out-of-Order Processors
If-conversion is a compiler technique that reduces the misprediction penalties caused by hard-to-predict branches, transforming control dependencies into data dependencies. Althou...
Eduardo Quiñones, Joan-Manuel Parcerisa, An...
MICRO
1994
IEEE
123views Hardware» more  MICRO 1994»
13 years 10 months ago
The effects of predicated execution on branch prediction
High performance architectures have always had to deal with the performance-limiting impact of branch operations. Microprocessor designs are going to have to deal with this proble...
Gary S. Tyson
MICRO
1994
IEEE
118views Hardware» more  MICRO 1994»
13 years 10 months ago
Characterizing the impact of predicated execution on branch prediction
Branch instructions are recognized as a major impediment to exploiting instruction level parallelism. Even with sophisticated branch prediction techniques, many frequently execute...
Scott A. Mahlke, Richard E. Hank, Roger A. Bringma...
HPCA
2003
IEEE
14 years 6 months ago
Incorporating Predicate Information into Branch Predictors
Predicated Execution can be used to alleviate the costs associated with frequently mispredicted branches. This is accomplished by trading the cost of a mispredicted branch for exe...
Beth Simon, Brad Calder, Jeanne Ferrante
ICS
2009
Tsinghua U.
13 years 3 months ago
Creating artificial global history to improve branch prediction accuracy
Modern processors require highly accurate branch prediction for good performance. As such, a number of branch predictors have been proposed with varying size and complexity. This ...
Leo Porter, Dean M. Tullsen