Sciweavers

31 search results - page 1 / 7
» Improving Conditional Branch Prediction on Speculative Multi...
Sort
View
IPPS
2003
IEEE
13 years 10 months ago
Using Incorrect Speculation to Prefetch Data in a Concurrent Multithreaded Processor
Concurrent multithreaded architectures exploit both instruction-level and thread-level parallelism through a combination of branch prediction and thread-level control speculation. ...
Ying Chen, Resit Sendag, David J. Lilja
ASPLOS
2008
ACM
13 years 6 months ago
Accurate branch prediction for short threads
Multi-core processors, with low communication costs and high availability of execution cores, will increase the use of execution and compilation models that use short threads to e...
Bumyong Choi, Leo Porter, Dean M. Tullsen
HPCA
2001
IEEE
14 years 5 months ago
Speculative Data-Driven Multithreading
Mispredicted branches and loads that miss in the cache cause the majority of retirement stalls experienced by sequential processors; we call these critical instructions. Despite t...
Amir Roth, Gurindar S. Sohi
ISCA
1995
IEEE
121views Hardware» more  ISCA 1995»
13 years 8 months ago
A Comparative Analysis of Schemes for Correlated Branch Prediction
Modern high-performance architectures require extremely accurate branch prediction to overcome the performance limitations of conditional branches. We present a framework that cat...
Cliff Young, Nicholas C. Gloy, Michael D. Smith