Sciweavers

31 search results - page 3 / 7
» Improving Conditional Branch Prediction on Speculative Multi...
Sort
View
MICRO
1995
IEEE
125views Hardware» more  MICRO 1995»
13 years 9 months ago
Disjoint eager execution: an optimal form of speculative execution
Instruction Level Parallelism (ILP) speedups of an order-of-magnitude or greater may be possible using the techniques described herein. Traditional speculative code execution is t...
Augustus K. Uht, Vijay Sindagi, Kelley Hall
IEEEPACT
1998
IEEE
13 years 10 months ago
Dynamic Hammock Predication for Non-Predicated Instruction Set Architectures
Conventional speculative architectures use branch prediction to evaluate the most likely execution path during program execution. However, certain branches are difficult to predic...
Artur Klauser, Todd M. Austin, Dirk Grunwald, Brad...
HPCA
2006
IEEE
13 years 11 months ago
Speculative synchronization and thread management for fine granularity threads
Performance of multithreaded programs is heavily influenced by the latencies of the thread management and synchronization operations. Improving these latencies becomes especially...
Alex Gontmakher, Avi Mendelson, Assaf Schuster, Gr...
HPCA
2003
IEEE
14 years 6 months ago
Incorporating Predicate Information into Branch Predictors
Predicated Execution can be used to alleviate the costs associated with frequently mispredicted branches. This is accomplished by trading the cost of a mispredicted branch for exe...
Beth Simon, Brad Calder, Jeanne Ferrante
ISCAPDCS
2003
13 years 7 months ago
Dynamic Simultaneous Multithreaded Architecture
This paper presents the Dynamic Simultaneous Multithreaded Architecture (DSMT). DSMT efficiently executes multiple threads from a single program on a SMT processor core. To accomp...
Daniel Ortiz Arroyo, Ben Lee