Sciweavers

11 search results - page 2 / 3
» Multithreaded Extension to Multicluster VLIW Processors for ...
Sort
View
DATE
2003
IEEE
109views Hardware» more  DATE 2003»
13 years 10 months ago
A New Algorithm for Energy-Driven Data Compression in VLIW Embedded Processors
This paper presents a new algorithm for on-the-fly data compression in high performance VLIW processors. The algorithm aggressively targets energy minimization of some of the domi...
Alberto Macii, Enrico Macii, Fabrizio Crudo, Rober...
ICCD
2002
IEEE
228views Hardware» more  ICCD 2002»
14 years 2 months ago
JMA: The Java-Multithreading Architecture for Embedded Processors
Embedded processors are increasingly deployed in applications requiring high performance with good real-time characteristics whilst being low power. Parallelism has to be extracte...
Panit Watcharawitch, Simon W. Moore
ICCD
1999
IEEE
110views Hardware» more  ICCD 1999»
13 years 9 months ago
TriMedia CPU64 Architecture
We present a new VLIW core as a successor to the TriMedia TM1000. The processor is targeted for embedded use in media-processing devices like DTVs and set-top boxes. Intended as a...
Jos T. J. van Eijndhoven, Kees A. Vissers, Evert-J...
RTCSA
2005
IEEE
13 years 10 months ago
Run-Time Power Consumption Modeling for Embedded Multimedia Systems
The run-time power consumption model for multimedia application routines in an embedded system is developed in this work. A wide range of benchmarks for these routines such as ima...
Yu Hu, Qing Li, C. C. Jay Kuo
CASES
2005
ACM
13 years 7 months ago
Architectural support for real-time task scheduling in SMT processors
In Simultaneous Multithreaded (SMT) architectures most hardware resources are shared between threads. This provides a good cost/performance trade-off which renders these architec...
Francisco J. Cazorla, Peter M. W. Knijnenburg, Riz...