Sciweavers

33 search results - page 1 / 7
» Parameter variations and impact on circuits and microarchite...
Sort
View
DAC
2003
ACM
14 years 4 months ago
Parameter variations and impact on circuits and microarchitecture
Parameter variation in scaled technologies beyond 90nm will pose a major challenge for design of future high performance microprocessors. In this paper, we discuss process, voltag...
Shekhar Borkar, Tanay Karnik, Siva Narendra, James...
ICCAD
2006
IEEE
169views Hardware» more  ICCAD 2006»
14 years 16 days ago
Microarchitecture parameter selection to optimize system performance under process variation
Abstract— Design variability due to within-die and die-todie process variations has the potential to significantly reduce the maximum operating frequency and the effective yield...
Xiaoyao Liang, David Brooks
ICCAD
2007
IEEE
132views Hardware» more  ICCAD 2007»
14 years 16 days ago
Principle Hessian direction based parameter reduction with process variation
— As CMOS technology enters the nanometer regime, the increasing process variation is bringing manifest impact on circuit performance. In this paper, we propose a Principle Hessi...
Alexander V. Mitev, Michael Marefat, Dongsheng Ma,...
DFT
1998
IEEE
84views VLSI» more  DFT 1998»
13 years 8 months ago
Process Variations and their Impact on Circuit Operation
The statistical variations in electrical parameters, such as transistor gain factors and interconnect resistances, due to variations in the manufacturing process are studied using...
Suriyaprakash Natarajan, Melvin A. Breuer, Sandeep...
ISQED
2007
IEEE
146views Hardware» more  ISQED 2007»
13 years 10 months ago
Parameter-Variation-Aware Analysis for Noise Robustness
This paper studies the impact of variability on the noise robustness of logic gates using noise rejection curves (NRCs). NRCs allow noise pulses to be modeled using magnitude-dura...
Mosin Mondal, Kartik Mohanram, Yehia Massoud