Sciweavers

7 search results - page 1 / 2
» Performance yield-driven task allocation and scheduling for ...
Sort
View
DAC
2010
ACM
13 years 8 months ago
Performance yield-driven task allocation and scheduling for MPSoCs under process variation
With the ever-increasing transistor variability in CMOS technology, it is essential to integrate variation-aware performance analysis into the task allocation and scheduling proce...
Lin Huang, Qiang Xu
ASPDAC
2008
ACM
135views Hardware» more  ASPDAC 2008»
13 years 6 months ago
Temperature-aware MPSoC scheduling for reducing hot spots and gradients
Thermal hot spots and temperature gradients on the die need to be minimized to manufacture reliable systems while meeting energy and performance constraints. In this work, we solve...
Ayse Kivilcim Coskun, Tajana Simunic Rosing, Keith...
ICCAD
2007
IEEE
91views Hardware» more  ICCAD 2007»
14 years 1 months ago
Variation-aware task allocation and scheduling for MPSoC
— As technology scales, the delay uncertainty caused by process variations has become increasingly pronounced in deep submicron designs. As a result, a paradigm shift from determ...
Feng Wang 0004, Chrysostomos Nicopoulos, Xiaoxia W...
ECRTS
2006
IEEE
13 years 10 months ago
Task Reweighting under Global Scheduling on Multiprocessors
We consider schemes for enacting task share changes—a process called reweighting—on real-time multiprocessor platforms. Our particular focus is reweighting schemes that are de...
Aaron Block, James H. Anderson, UmaMaheswari C. De...
ICCAD
2006
IEEE
101views Hardware» more  ICCAD 2006»
14 years 1 months ago
Guaranteeing performance yield in high-level synthesis
Meeting timing constraint is one of the most important issues for modern design automation tools. This situation is exacerbated with the existence of process variation. Current hi...
Wei-Lun Hung, Xiaoxia Wu, Yuan Xie