Sciweavers

11 search results - page 1 / 3
» Post-routing redundant via insertion for yield reliability i...
Sort
View
GLVLSI
2009
IEEE
125views VLSI» more  GLVLSI 2009»
13 years 11 months ago
Redundant wire insertion for yield improvement
Based on the insertion of internal and external redundant wires into L-type and U-type wires, an efficient two-phase reliability-driven insertion algorithm is proposed to insert r...
Jin-Tai Yan, Zhi-Wei Chen
ICCAD
2009
IEEE
92views Hardware» more  ICCAD 2009»
13 years 2 months ago
How to consider shorts and guarantee yield rate improvement for redundant wire insertion
This paper accurately considers wire short defects and proposes an algorithm to guarantee IC chip yield rate improvement for redundant wire insertion. Without considering yield ra...
Fong-Yuan Chang, Ren-Song Tsay, Wai-Kei Mak
ASPDAC
2005
ACM
114views Hardware» more  ASPDAC 2005»
13 years 6 months ago
Redundant-via enhanced maze routing for yield improvement
- Redundant via insertion is a good solution to reduce the yield loss by via failure. However, the existing methods are all post-layout optimizations that insert redundant via afte...
Gang Xu, Li-Da Huang, David Z. Pan, Martin D. F. W...
ASPDAC
2009
ACM
113views Hardware» more  ASPDAC 2009»
13 years 7 months ago
Post-routing redundant via insertion with wire spreading capability
—Redundant via insertion is a widely recommended technique to enhance the via yield and reliability. In this paper, the post-routing redundant via insertion problem is transforme...
Cheok-Kei Lei, Po-Yi Chiang, Yu-Min Lee
ASPDAC
2006
ACM
116views Hardware» more  ASPDAC 2006»
13 years 10 months ago
Post-routing redundant via insertion for yield/reliability improvement
- Reducing the yield loss due to via failure is one of the important problems in design for manufacturability. A well known and highly recommended method to improve via yield/relia...
Kuang-Yao Lee, Ting-Chi Wang