Sciweavers

242 search results - page 3 / 49
» Predictive dynamic thermal management for multicore systems
Sort
View
ICCAD
2010
IEEE
145views Hardware» more  ICCAD 2010»
13 years 2 months ago
Fuzzy control for enforcing energy efficiency in high-performance 3D systems
3D stacked circuits reduce communication delay in multicore system-on-chips (SoCs) and enable heterogeneous integration of cores, memories, sensors, and RF devices. However, vertic...
Mohamed M. Sabry, Ayse Kivilcim Coskun, David Atie...
ISCA
2006
IEEE
145views Hardware» more  ISCA 2006»
13 years 4 months ago
Techniques for Multicore Thermal Management: Classification and New Exploration
Power density continues to increase exponentially with each new technology generation, posing a major challenge for thermal management in modern processors. Much past work has exa...
James Donald, Margaret Martonosi
ISLPED
2007
ACM
132views Hardware» more  ISLPED 2007»
13 years 6 months ago
Thermal response to DVFS: analysis with an Intel Pentium M
Increasing power density in computing systems from laptops to servers has spurred interest in dynamic thermal management. Based on the success of dynamic voltage and frequency sca...
Heather Hanson, Stephen W. Keckler, Soraya Ghiasi,...
HPCA
2008
IEEE
14 years 5 months ago
C-Oracle: Predictive thermal management for data centers
Designing thermal management policies for today's power-dense server clusters is currently a challenge, since it is difficult to predict the exact temperature and performance...
Luiz Ramos, Ricardo Bianchini
GLVLSI
2009
IEEE
262views VLSI» more  GLVLSI 2009»
13 years 8 months ago
Central vs. distributed dynamic thermal management for multi-core processors: which one is better?
Michael Kadin, Sherief Reda, Augustus K. Uht