Sciweavers

385 search results - page 1 / 77
» Prefetch-aware shared resource management for multi-core sys...
Sort
View
MICRO
2008
IEEE
149views Hardware» more  MICRO 2008»
13 years 11 months ago
Prefetch-Aware DRAM Controllers
Existing DRAM controllers employ rigid, non-adaptive scheduling and buffer management policies when servicing prefetch requests. Some controllers treat prefetch requests the same ...
Chang Joo Lee, Onur Mutlu, Veynu Narasiman, Yale N...
RTAS
2008
IEEE
13 years 11 months ago
WCET Analysis for Multi-Core Processors with Shared L2 Instruction Caches
Multi-core chips have been increasingly adopted by microprocessor industry. For real-time systems to safely harness the potential of multi-core computing, designers must be able t...
Jun Yan, Wei Zhang
ICCCN
2008
IEEE
13 years 11 months ago
Impact of Network Sharing in Multi-Core Architectures
As commodity components continue to dominate the realm of high-end computing, two hardware trends have emerged as major contributors—high-speed networking technologies and multi...
G. Narayanaswamy, Pavan Balaji, Wu-chun Feng
CPHYSICS
2010
135views more  CPHYSICS 2010»
13 years 4 months ago
An events based algorithm for distributing concurrent tasks on multi-core architectures
In this paper, a programming model is presented which enables scalable parallel performance on multi-core shared memory architectures. The model has been developed for application...
David W. Holmes, John R. Williams, Peter Tilke
ISCA
2011
IEEE
324views Hardware» more  ISCA 2011»
12 years 8 months ago
Prefetch-aware shared resource management for multi-core systems
Chip multiprocessors (CMPs) share a large portion of the memory subsystem among multiple cores. Recent proposals have addressed high-performance and fair management of these share...
Eiman Ebrahimi, Chang Joo Lee, Onur Mutlu, Yale N....