Sciweavers

6 search results - page 1 / 2
» SunFloor 3D: A tool for Networks On Chip topology synthesis ...
Sort
View
DATE
2009
IEEE
183views Hardware» more  DATE 2009»
13 years 11 months ago
SunFloor 3D: A tool for Networks On Chip topology synthesis for 3D systems on chips
Three-dimensional integrated circuits are a promising approach to address the integration challenges faced by current Systems on Chips (SoCs). Designing an efficient Network on C...
Ciprian Seiculescu, Srinivasan Murali, Luca Benini...
TCAD
2010
160views more  TCAD 2010»
12 years 11 months ago
SunFloor 3D: A Tool for Networks on Chip Topology Synthesis for 3-D Systems on Chips
Three-dimensional integrated circuits (3D-ICs) are a promising approach to address the integration challenges faced by current systems on chips (SoCs). Designing an efficient netwo...
Ciprian Seiculescu, Srinivasan Murali, Luca Benini...
ASPDAC
2009
ACM
108views Hardware» more  ASPDAC 2009»
13 years 11 months ago
Synthesis of networks on chips for 3D systems on chips
Three-dimensional stacking of silicon layers is emerging as a promising solution to handle the design complexity and heterogeneity of Systems on Chips (SoCs). Networks on Chips (N...
Srinivasan Murali, Ciprian Seiculescu, Luca Benini...
3DIC
2009
IEEE
142views Hardware» more  3DIC 2009»
13 years 9 months ago
Electrical-thermal co-analysis for power delivery networks in 3D system integration
- In this paper, an electrical-thermal co-analysis method for power delivery networks in 3D system integration is proposed. For electrical analysis, temperature-dependent electrica...
Jianyong Xie, Daehyun Chung, Madhavan Swaminathan,...
NOCS
2009
IEEE
13 years 11 months ago
Scalability of network-on-chip communication architecture for 3-D meshes
Design Constraints imposed by global interconnect delays as well as limitations in integration of disparate technologies make 3-D chip stacks an enticing technology solution for m...
Awet Yemane Weldezion, Matt Grange, Dinesh Pamunuw...