Sciweavers

2 search results - page 1 / 1
» The epsilon-approximation to discrete VT assignment for leak...
Sort
View
ICCAD
2009
IEEE
161views Hardware» more  ICCAD 2009»
13 years 2 months ago
The epsilon-approximation to discrete VT assignment for leakage power minimization
As VLSI technology reaches 45nm technology node, leakage power optimization has become a major design challenge. Threshold voltage (vt) assignment has been extensively studied, du...
Yujia Feng, Shiyan Hu
TVLSI
2008
197views more  TVLSI 2008»
13 years 4 months ago
Leakage Minimization of SRAM Cells in a Dual-Vt and Dual-Tox Technology
-- Aggressive CMOS scaling results in low threshold voltage and thin oxide thickness for transistors manufactured in deep submicron regime. As a result, reducing the subthreshold a...
Behnam Amelifard, Farzan Fallah, Massoud Pedram