Sciweavers

42 search results - page 9 / 9
» Three-Dimensional Integrated Circuits: Performance, Design M...
Sort
View
DAC
2012
ACM
11 years 7 months ago
Chip/package co-analysis of thermo-mechanical stress and reliability in TSV-based 3D ICs
In this work, we propose a fast and accurate chip/package thermomechanical stress and reliability co-analysis tool for TSV-based 3D ICs. We also present a design optimization meth...
Moongon Jung, David Z. Pan, Sung Kyu Lim
ISLPED
2006
ACM
83views Hardware» more  ISLPED 2006»
13 years 10 months ago
Considering process variations during system-level power analysis
Process variations will increasingly impact the operational characteristics of integrated circuits in nanoscale semiconductor technologies. Researchers have proposed various desig...
Saumya Chandra, Kanishka Lahiri, Anand Raghunathan...