Sciweavers

708 search results - page 2 / 142
» Timing closure: the solution and its problems
Sort
View
DATE
2007
IEEE
117views Hardware» more  DATE 2007»
13 years 12 months ago
Rapid and accurate latch characterization via direct Newton solution of setup/hold times
Characterizing setup/hold times of latches and registers, a crucial component for achieving timing closure of large digital designs, typically occupies months of computation in in...
Shweta Srivastava, Jaijeet S. Roychowdhury
ENTCS
2008
138views more  ENTCS 2008»
13 years 5 months ago
Compositionality of Statically Scheduled IP
Timing Closure in presence of long global wire interconnects is one of the main current issues in System-onChip design. One proposed solution to the Timing Closure problem is Late...
Julien Boucaron, Jean-Vivien Millo
ASPDAC
2004
ACM
120views Hardware» more  ASPDAC 2004»
13 years 11 months ago
Temporal floorplanning using 3D-subTCG
Improving logic capacity by time-sharing, dynamically reconfigurable FPGAs are employed to handle designs of high complexity and functionality. In this paper, we use a novel topo...
Ping-Hung Yuh, Chia-Lin Yang, Yao-Wen Chang, Hsin-...
ICCAD
2008
IEEE
170views Hardware» more  ICCAD 2008»
14 years 2 months ago
A polynomial time approximation scheme for timing constrained minimum cost layer assignment
Abstract— As VLSI technology enters the nanoscale regime, interconnect delay becomes the bottleneck of circuit performance. Compared to gate delays, wires are becoming increasing...
Shiyan Hu, Zhuo Li, Charles J. Alpert
TVLSI
2002
161views more  TVLSI 2002»
13 years 5 months ago
Arbitrarily shaped rectilinear module placement using the transitive closure graph representation
In this paper, we deal with arbitrarily shaped rectilinear module placement using the transitive closure graph (TCG) representation. The geometric meanings of modules are transpare...
Jai-Ming Lin, Hsin-Lung Chen, Yao-Wen Chang