Sciweavers

422 search results - page 1 / 85
» Towards Development in Evolvable Hardware
Sort
View
EH
2002
IEEE
111views Hardware» more  EH 2002»
13 years 9 months ago
Towards Development in Evolvable Hardware
Mapping between genotype and phenotype using a model of biological development has been widely touted as a technique for evolving solutions to large, complex problems [1-3]. Here ...
Timothy G. W. Gordon, Peter J. Bentley
ISMVL
2000
IEEE
121views Hardware» more  ISMVL 2000»
13 years 9 months ago
Evolvable Hardware: From On-Chip Circuit Synthesis to Evolvable Space Systems
Evolvable Hardware (EHW) refers to HW design and self-reconfiguration using evolutionary/genetic mechanisms. The paper presents an overview of some key concepts of EHW, comments o...
Adrian Stoica
FPL
2000
Springer
87views Hardware» more  FPL 2000»
13 years 8 months ago
Toward Uniform Approach to Design of Evolvable Hardware Based Systems
The paper tries to establish the uniform design concept for evolvable hardware based applications. Evolvable circuit is understood as a system component with ability to evolve. As ...
Lukás Sekanina, Azeddien M. Sllame
EH
2003
IEEE
135views Hardware» more  EH 2003»
13 years 9 months ago
Towards Evolvable IP Cores for FPGAs
The paper deals with a new approach to the design of adaptive hardware using common Field Programmable Gate Arrays (FPGA). The ultimate aim is to develop evolvable IP (Intellectua...
Lukás Sekanina
EH
2004
IEEE
163views Hardware» more  EH 2004»
13 years 8 months ago
Towards Evolvable Analog Artificial Neural Networks Controllers
This work deals with the design of analog circuits for Artificial Neural Networks (ANNs) controllers using an Evolvable Hardware (EHW) platform. ANNs are massively parallel system...
José Franco Machado do Amaral, Jorge Lu&iac...