Sciweavers

10 search results - page 2 / 2
» Visibility enhancement for silicon debug
Sort
View
ASPDAC
2010
ACM
129views Hardware» more  ASPDAC 2010»
13 years 2 months ago
On signal tracing in post-silicon validation
It is increasingly difficult to guarantee the first silicon success for complex integrated circuit (IC) designs. Post-silicon validation has thus become an essential step in the I...
Qiang Xu, Xiao Liu
ICCAD
2010
IEEE
148views Hardware» more  ICCAD 2010»
13 years 2 months ago
Trace signal selection to enhance timing and logic visibility in post-silicon validation
Trace buffer technology allows tracking the values of a few number of state elements inside a chip within a desired time window, which is used to analyze logic errors during post-s...
Hamid Shojaei, Azadeh Davoodi
DAC
2009
ACM
14 years 5 months ago
Interconnection fabric design for tracing signals in post-silicon validation
Post-silicon validation has become an essential step in the design flow of today's complex integrated circuits. One effective technique that provides real-time visibility to ...
Xiao Liu, Qiang Xu
DATE
2007
IEEE
126views Hardware» more  DATE 2007»
13 years 10 months ago
Dynamic learning based scan chain diagnosis
Scan chain defect diagnosis is important to silicon debug and yield enhancement. Traditional simulationbased chain diagnosis algorithms may take long run time if a large number of...
Yu Huang
DATE
2009
IEEE
168views Hardware» more  DATE 2009»
13 years 11 months ago
Selective state retention design using symbolic simulation
Abstract—Addressing both standby and active power is a major challenge in developing System-on-Chip designs for batterypowered products. Powering off sections of logic or memorie...
Ashish Darbari, Bashir M. Al-Hashimi, David Flynn,...