Sciweavers

ICCAD
2010
IEEE
216views Hardware» more  ICCAD 2010»
13 years 2 months ago
Stress-driven 3D-IC placement with TSV keep-out zone and regularity study
Through-silicon via (TSV) fabrication causes tensile stress around TSVs which results in significant carrier mobility variation in the devices in their neighborhood. Keep-out zone ...
Krit Athikulwongse, Ashutosh Chakraborty, Jae-Seok...