Sciweavers

ICCAD
1999
IEEE
86views Hardware» more  ICCAD 1999»
13 years 8 months ago
Clock skew scheduling for improved reliability via quadratic programming
This paper considers the problem of determining an optimal clock skew schedule for a synchronous VLSI circuit. A novel formulation of clock skew scheduling as a constrained quadrat...
Ivan S. Kourtev, Eby G. Friedman
DATE
1999
IEEE
127views Hardware» more  DATE 1999»
13 years 8 months ago
Minimizing Sensitivity to Delay Variations in High-Performance Synchronous Circuits
This paper investigates retiming and clock skew scheduling for improving the tolerance of synchronous circuits to delay variations. It is shown that when both long and short paths...
Xun Liu, Marios C. Papaefthymiou, Eby G. Friedman
DAC
1999
ACM
13 years 8 months ago
A Floorplan-Based Planning Methodology for Power and Clock Distribution in ASICs
In deep submicron technology, IR-drop and clock skew issues become more crucial to the functionality of chip. This paper presents a oorplan-based power and clock distribution meth...
Joon-Seo Yim, Seong-Ok Bae, Chong-Min Kyung
ARVLSI
1999
IEEE
94views VLSI» more  ARVLSI 1999»
13 years 8 months ago
Optimal Clocking and Enhanced Testability for High-Performance Self-Resetting Domino Pipelines
We describe a method to clock the domino pipeline at the maximum rate by using soft synchronizers between pipeline stages and thus allowing "time borrowing," i.e., allow...
Ayoob E. Dooply, Kenneth Y. Yun
ISCA
2000
IEEE
81views Hardware» more  ISCA 2000»
13 years 9 months ago
Clock rate versus IPC: the end of the road for conventional microarchitectures
The doubling of microprocessor performance every three years has been the result of two factors: more transistors per chip and superlinear scaling of the processor clock with tech...
Vikas Agarwal, M. S. Hrishikesh, Stephen W. Keckle...
SSS
2009
Springer
133views Control Systems» more  SSS 2009»
13 years 9 months ago
A Metastability-Free Multi-synchronous Communication Scheme for SoCs
We propose a communication scheme for GALS systems with independent but approximately synchronized clock sources, which guarantees high-speed metastability-free communication betwe...
Thomas Polzer, Thomas Handl, Andreas Steininger
FPL
2009
Springer
152views Hardware» more  FPL 2009»
13 years 9 months ago
Clock gating architectures for FPGA power reduction
Clock gating is a power reduction technique that has been used successfully in the custom ASIC domain. Clock and logic signal power are saved by temporarily disabling the clock si...
Safeen Huda, Muntasir Mallick, Jason H. Anderson
DAC
2009
ACM
13 years 9 months ago
Serial reconfigurable mismatch-tolerant clock distribution
We present an unconventional clock distribution that emphasizes flexibility and layout independence. It suits a variety of applications, clock domain shapes and sizes using a modu...
Atanu Chattopadhyay, Zeljko Zilic
MPC
2010
Springer
152views Mathematics» more  MPC 2010»
13 years 9 months ago
Lucy-n: a n-Synchronous Extension of Lustre
Synchronous functional languages such as Lustre or Lucid Synchrone define a restricted class of Kahn Process Networks which can be executed with no buffer. Every expression is as...
Louis Mandel, Florence Plateau, Marc Pouzet
ISVLSI
2002
IEEE
81views VLSI» more  ISVLSI 2002»
13 years 9 months ago
Impact of Technology Scaling in the Clock System Power
The clock distribution and generation circuitry is known to consume more than a quarter of the power budget of existing microprocessors. A previously derived clock energy model is...
David Duarte, Narayanan Vijaykrishnan, Mary Jane I...