Sciweavers

DATE
2006
IEEE
76views Hardware» more  DATE 2006»
13 years 10 months ago
Performance optimization for energy-aware adaptive checkpointing in embedded real-time systems
Using additional store-checkpoinsts (SCPs) and compare-checkpoints (CCPs), we present an adaptive checkpointing for double modular redundancy (DMR) in this paper. The proposed app...
Zhongwen Li, Hong Chen, Shui Yu
LCTRTS
2007
Springer
13 years 10 months ago
Frequency-aware energy optimization for real-time periodic and aperiodic tasks
Energy efficiency is an important factor in embedded systems design. We consider an embedded system with a dynamic voltage scaling (DVS) capable processor and its system-wide pow...
Xiliang Zhong, Cheng-Zhong Xu
RTSS
2007
IEEE
13 years 10 months ago
Energy-Aware Scheduling of Real-Time Tasks in Wireless Networked Embedded Systems
Recent technological advances have opened up several distributed real-time applications involving battery-driven embedded devices with local processing and wireless communication ...
G. Sudha Anil Kumar, G. Manimaran
RTCSA
2007
IEEE
13 years 10 months ago
A Voltage and Resource Synthesis Technique for Energy-Aware Real-time Systems
We consider a resource synthesis technique for realtime systems where dynamic voltage scaling is supported, the energy budget is limited, and the performance of the system depends...
Dong-In Kang, Stephen P. Crago, Jinwoo Suh, Janice...
ICIP
2007
IEEE
13 years 10 months ago
Buffer Constrained Proactive Dynamic Voltage Scaling for Video Decoding Systems
Significant power savings can be achieved on voltage/frequency configurable platforms by dynamically adapting the frequency and voltage according to the workload (complexity). Vid...
Emrah Akyol, Mihaela van der Schaar
SUTC
2008
IEEE
13 years 10 months ago
Power-Aware Real-Time Scheduling upon Identical Multiprocessor Platforms
In this paper, we address the power-aware scheduling of sporadic constrained-deadline hard real-time tasks using dynamic voltage scaling upon multiprocessor platforms. We propose ...
Vincent Nélis, Joël Goossens, Raymond ...
SASP
2008
IEEE
164views Hardware» more  SASP 2008»
13 years 10 months ago
AMPLE: An Adaptive Multi-Performance Processor for Low-Energy Embedded Applications
This paper proposes an energy efficient processor which can be used as a design alternative for the dynamic voltage scaling (DVS) processors in embedded system design. The proces...
Tohru Ishihara, Seiichiro Yamaguchi, Yuriko Ishito...
ISLPED
2009
ACM
132views Hardware» more  ISLPED 2009»
13 years 10 months ago
Enabling ultra low voltage system operation by tolerating on-chip cache failures
Extreme technology integration in the sub-micron regime comes with a rapid rise in heat dissipation and power density for modern processors. Dynamic voltage scaling is a widely us...
Amin Ansari, Shuguang Feng, Shantanu Gupta, Scott ...
SAC
2009
ACM
13 years 11 months ago
On scheduling soft real-time tasks with lock-free synchronization for embedded devices
In this paper, we consider minimizing the system-level energy consumption through dynamic voltage scaling for embedded devices, while a) allowing concurrent access to shared objec...
Shouwen Lai, Binoy Ravindran, Hyeonjoong Cho