Sciweavers

ISPD
2000
ACM
113views Hardware» more  ISPD 2000»
13 years 9 months ago
Floorplan area minimization using Lagrangian relaxation
modules can be handled in constraint graphs efficiently. This Floorplan area minimization is an important problem because many modules have shape flexibilities during the floorplan...
Fung Yu Young, Chris C. N. Chu, W. S. Luk, Y. C. W...
ISPD
2000
ACM
145views Hardware» more  ISPD 2000»
13 years 9 months ago
A snap-on placement tool
The standard cell placement problem has been extensively studied in the past twenty years. Many approaches were proposed and proven e ective in practice. However, successful place...
Xiaojian Yang, Maogang Wang, Kenneth Eguro, Majid ...
ISPD
2000
ACM
131views Hardware» more  ISPD 2000»
13 years 9 months ago
Multi-center congestion estimation and minimization during placement
As technology advances, more and more issues need to be considered in the placement stage, e.g., wirelength, congestion, timing, coupling. It is very hard to consider all of them ...
Maogang Wang, Xiaojian Yang, Kenneth Eguro, Majid ...
ISPD
2000
ACM
126views Hardware» more  ISPD 2000»
13 years 9 months ago
A practical clock tree synthesis for semi-synchronous circuits
In this paper, we propose a new clock tree synthesis method for semi-synchronous circuits. A clock tree obtained by the proposed method is a multi-level multi-way clock tree such ...
Masahiko Toyonaga, Keiichi Kurokawa, Takuya Yasui,...
ISPD
2000
ACM
139views Hardware» more  ISPD 2000»
13 years 9 months ago
Critical area computation for missing material defects in VLSI circuits
We address the problem of computing critical area for missing material defects in a circuit layout. The extraction of critical area is the main computational problem in VLSI yield...
Evanthia Papadopoulou
ISPD
2000
ACM
92views Hardware» more  ISPD 2000»
13 years 9 months ago
An enhanced perturbing algorithm for floorplan design using the O-tree representation
Recently, a deterministic algorithm based on the O-tree representation has been proposed. This method generates excellent layout results on MCNC test cases with O(n3 ) complexity,...
Yingxin Pang, Chung-Kuan Cheng, Takeshi Yoshimura
ISPD
2000
ACM
69views Hardware» more  ISPD 2000»
13 years 9 months ago
Multilevel cooperative search: application to the circuit/hypergraph partitioning problem
ÁÒ Ø × Ô Ô Ö¸ Û ÔÖ × ÒØ Ò ÔØ Ø ÓÒ ÓÖ ÝÔ Ö Ö Ô Ô Ö¹ Ø Ø ÓÒ Ò Ó Ø ÑÙÐØ Ð Ú Ð ÓÓÔ Ö Ø Ú × Ö Ô Ö Ñ ¬Ö×Ø ÒØÖÓ Ù Ý ÌÓ...
Min Ouyang, Michel Toulouse, Krishnaiyan Thulasira...
ISPD
2000
ACM
97views Hardware» more  ISPD 2000»
13 years 9 months ago
Exact switchbox routing with search space reduction
Frank Schmiedle, Daniel Unruh, Bernd Becker
ISPD
2000
ACM
97views Hardware» more  ISPD 2000»
13 years 9 months ago
Routability-driven repeater block planning for interconnect-centric floorplanning
In this paper we present a repeater block planning algorithm for interconnect-centric floorplanning. We introduce the concept of independent feasible regions for repeaters and der...
Probir Sarkar, Vivek Sundararaman, Cheng-Kok Koh