Sciweavers

ISLPED
2005
ACM
150views Hardware» more  ISLPED 2005»
13 years 9 months ago
Instruction packing: reducing power and delay of the dynamic scheduling logic
The instruction scheduling logic used in modern superscalar microprocessors often relies on associative searching of the issue queue entries to dynamically wakeup instructions for...
Joseph J. Sharkey, Dmitry V. Ponomarev, Kanad Ghos...
DSN
2008
IEEE
13 years 10 months ago
Analysis and solutions to issue queue process variation
The last few years have witnessed an unprecedented explosion in transistor densities. Diminutive feature sizes have enabled microprocessor designers to break the billion-transisto...
Niranjan Soundararajan, Aditya Yanamandra, Chrysos...