Sciweavers

ITC
1992
IEEE
90views Hardware» more  ITC 1992»
13 years 8 months ago
ScanBIST: A Multi-frequency Scan-based BIST Method
This paper presents a BIST technique that allows the synchronization of multiple scan chains clocked at different frequencies. The technique is used to improve performance testing...
Benoit Nadeau-Dostie, Dwayne Burek, Abu S. M. Hass...
ITC
1992
IEEE
76views Hardware» more  ITC 1992»
13 years 8 months ago
A Small Test Generator for Large Designs
In this paper we report an automatic test pattern generator that can handle designs with one million gates or more on medium size workstations. Run times and success rates, i.e. t...
Sandip Kundu, Leendert M. Huisman, Indira Nair, Vi...