Sciweavers

ICCAD
2004
IEEE
134views Hardware» more  ICCAD 2004»
14 years 1 months ago
An analytic placer for mixed-size placement and timing-driven placement
We extend the APlace wirelength-driven standard-cell analytic placement framework of [21] to address timing-driven and mixedsize (“boulders and dust”) placement. Compared with...
Andrew B. Kahng, Qinke Wang