Sciweavers

ICCAD
2010
IEEE
126views Hardware» more  ICCAD 2010»
13 years 2 months ago
Characterizing the lifetime reliability of manycore processors with core-level redundancy
With aggressive technology scaling, integrated circuits suffer from everincreasing wearout effects and their lifetime reliability has become a serious concern for the industry. Fo...
Lin Huang, Qiang Xu
DSN
2004
IEEE
13 years 8 months ago
The Impact of Technology Scaling on Lifetime Reliability
The relentless scaling of CMOS technology has provided a steady increase in processor performance for the past three decades. However, increased power densities (hence temperature...
Jayanth Srinivasan, Sarita V. Adve, Pradip Bose, J...
DATE
2010
IEEE
163views Hardware» more  DATE 2010»
13 years 9 months ago
AgeSim: A simulation framework for evaluating the lifetime reliability of processor-based SoCs
Aggressive technology scaling has an ever-increasing adverse impact on the lifetime reliability of microprocessors. This paper proposes a novel simulation framework for evaluating...
Lin Huang, Qiang Xu
ISCA
2005
IEEE
105views Hardware» more  ISCA 2005»
13 years 10 months ago
Exploiting Structural Duplication for Lifetime Reliability Enhancement
Increased power densities (and resultant temperatures) and other effects of device scaling are predicted to cause significant lifetime reliability problems in the near future. In...
Jayanth Srinivasan, Sarita V. Adve, Pradip Bose, J...
PRDC
2008
IEEE
13 years 11 months ago
On Modeling the Lifetime Reliability of Homogeneous Manycore Systems
Advancements in technology enable integration of a large number of cores on a single silicon die. At the same time, aggressive technology scaling has an ever-increasing adverse im...
Lin Huang, Qiang Xu
DATE
2009
IEEE
140views Hardware» more  DATE 2009»
13 years 11 months ago
Lifetime reliability-aware task allocation and scheduling for MPSoC platforms
With the relentless scaling of semiconductor technology, the lifetime reliability of embedded multiprocessor platforms has become one of the major concerns for the industry. If th...
Lin Huang, Feng Yuan, Qiang Xu
HIPEAC
2010
Springer
14 years 1 months ago
Maestro: Orchestrating Lifetime Reliability in Chip Multiprocessors
As CMOS feature sizes venture deep into the nanometer regime, wearout mechanisms including negative-bias temperature instability and timedependent dielectric breakdown can severely...
Shuguang Feng, Shantanu Gupta, Amin Ansari, Scott ...