Sciweavers

MICRO
2008
IEEE
153views Hardware» more  MICRO 2008»
13 years 11 months ago
CPR: Composable performance regression for scalable multiprocessor models
Uniprocessor simulators track resource utilization cycle by cycle to estimate performance. Multiprocessor simulators, however, must account for synchronization events that increas...
Benjamin C. Lee, Jamison D. Collins, Hong Wang 000...