Sciweavers

ASPDAC
2010
ACM
150views Hardware» more  ASPDAC 2010»
13 years 2 months ago
Post-silicon debugging for multi-core designs
Escaped errors in released silicon are growing in number due to the increasing complexity of modern processor designs and shrinking production schedules. Worsening the problem are ...
Valeria Bertacco
DAC
2010
ACM
13 years 7 months ago
Post-silicon validation opportunities, challenges and recent advances
Post-silicon validation is used to detect and fix bugs in integrated circuits and systems after manufacture. Due to sheer design complexity, it is nearly impossible to detect and ...
Subhasish Mitra, Sanjit A. Seshia, Nicola Nicolici
DATE
2009
IEEE
110views Hardware» more  DATE 2009»
13 years 11 months ago
Trace signal selection for visibility enhancement in post-silicon validation
Today’s complex integrated circuit designs increasingly rely on post-silicon validation to eliminate bugs that escape from presilicon verification. One effective silicon debug ...
Xiao Liu, Qiang Xu
ICCD
2008
IEEE
221views Hardware» more  ICCD 2008»
14 years 1 months ago
Reversi: Post-silicon validation system for modern microprocessors
— Verification remains an integral and crucial phase of today’s microprocessor design and manufacturing process. Unfortunately, with soaring design complexities and decreasing...
Ilya Wagner, Valeria Bertacco