Sciweavers

CODES
2001
IEEE
13 years 8 months ago
Hybrid global/local search strategies for dynamic voltage scaling in embedded multiprocessors
In this paper, we explore a hybrid global/local search optimization framework for dynamic voltage scaling in embedded multiprocessor systems. The problem is to find, for a multipr...
Neal K. Bambha, Shuvra S. Bhattacharyya, Jürg...
DAC
2010
ACM
13 years 8 months ago
PreDVS: preemptive dynamic voltage scaling for real-time systems using approximation scheme
System optimization techniques based on dynamic voltage scaling (DVS) are widely used with the aim of reducing processor energy consumption. Inter-task DVS assigns the same voltag...
Weixun Wang, Prabhat Mishra
DSD
2007
IEEE
132views Hardware» more  DSD 2007»
13 years 8 months ago
On-Chip Cache Device Scaling Limits and Effective Fault Repair Techniques in Future Nanoscale Technology
In this study, we investigate different cache fault tolerance techniques to determine which will be most effective when on-chip memory cell defect probabilities exceed those of cu...
David Roberts, Nam Sung Kim, Trevor N. Mudge
ISCAS
1999
IEEE
77views Hardware» more  ISCAS 1999»
13 years 8 months ago
Power reduction through iterative gate sizing and voltage scaling
The advent of portable and high-density devices has made power consumption a critical design concern. In this paper, we address the problem of reducing power consumption via gate-...
Chingwei Yeh, Min-Cheng Chang, Shih-Chieh Chang, W...
RTAS
2000
IEEE
13 years 8 months ago
Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems
—Many embedded systems operate under severe power and energy constraints. Voltage clock scaling is one mechanism by which energy consumption may be reduced: It is based on the fa...
C. Mani Krishna, Yann-Hang Lee
DATE
2010
IEEE
160views Hardware» more  DATE 2010»
13 years 9 months ago
Soft error-aware design optimization of low power and time-constrained embedded systems
— In this paper, we examine the impact of application task mapping on the reliability of MPSoC in the presence of single-event upsets (SEUs). We propose a novel soft erroraware d...
Rishad A. Shafik, Bashir M. Al-Hashimi, Krishnendu...
MM
2004
ACM
109views Multimedia» more  MM 2004»
13 years 9 months ago
Practical voltage scaling for mobile multimedia devices
This paper presents the design, implementation, and evaluation of a practical voltage scaling (PDVS) algorithm for mobile devices primarily running multimedia applications. PDVS s...
Wanghong Yuan, Klara Nahrstedt
ASPDAC
2004
ACM
118views Hardware» more  ASPDAC 2004»
13 years 9 months ago
Fast and efficient voltage scheduling by evolutionary slack distribution
- To minimize energy consumption by voltage scaling in design of heterogeneousreal-time embeddedsystems, it is necessary to perfom two distinct tasks: task scheduling (TS) and volt...
Bita Gorjiara, Pai H. Chou, Nader Bagherzadeh, Meh...
ASPDAC
2004
ACM
141views Hardware» more  ASPDAC 2004»
13 years 9 months ago
An approach for reducing dynamic power consumption in synchronous sequential digital designs
— The problem of minimizing dynamic power consumption by scaling down the supply voltage of computational elements off critical paths is widely addressed in the literature for th...
Noureddine Chabini, Wayne Wolf
EMSOFT
2005
Springer
13 years 10 months ago
AutoDVS: an automatic, general-purpose, dynamic clock scheduling system for hand-held devices
We present AutoDVS, a dynamic voltage scaling (DVS) system for hand-held computers. Unlike extant DVS systems, AutoDVS distinguishes common, course-grain, program behavior and cou...
Selim Gurun, Chandra Krintz