Sciweavers

ASPLOS
2010
ACM

Probabilistic job symbiosis modeling for SMT processor scheduling

13 years 10 months ago
Probabilistic job symbiosis modeling for SMT processor scheduling
Symbiotic job scheduling boosts simultaneous multithreading (SMT) processor performance by co-scheduling jobs that have ‘compatible’ demands on the processor’s shared resources. Existing approaches however require a sampling phase, evaluate a limited number of possible co-schedules, use heuristics to gauge symbiosis, are rigid in their optimization target, and do not preserve systemlevel priorities/shares. This paper proposes probabilistic job symbiosis modeling, which predicts whether jobs will create positive or negative symbiosis when co-scheduled without requiring the co-schedule to be evaluated. The model, which uses per-thread cycle stacks computed through a previously proposed cycle accounting architecture, is simple enough to be used in system software. Probabilistic job symbiosis modeling provides six key innovations over prior work in symbiotic job scheduling: (i) it does not require a sampling phase, (ii) it readjusts the job co-schedule continuously, (iii) it evaluat...
Stijn Eyerman, Lieven Eeckhout
Added 03 Jul 2010
Updated 03 Jul 2010
Type Conference
Year 2010
Where ASPLOS
Authors Stijn Eyerman, Lieven Eeckhout
Comments (0)