Sciweavers

ARCS
2006
Springer

Dynamic Dictionary-Based Data Compression for Level-1 Caches

13 years 8 months ago
Dynamic Dictionary-Based Data Compression for Level-1 Caches
Abstract. Data cache compression is actively studied as a venue to make better use of onchip transistors, increase apparent capacity of caches, and hide the long memory latencies. While several techniques have been proposed for L2 compression, L1 compression is an elusive goal. This is due to L1's sensitivity to latency and the inability to create compression schemes that are both fast and adaptable to program behavior, i.e. dynamic. In this paper, we propose the first dynamic dictionary-based compression mechanism for L1 data caches. Our design solves the problem of keeping the compressed contents of the cache and the dictionary entries consistent, using a timekeeping decay technique. A dynamic compression dictionary adapts to program behavior without the need of profiling techniques and/or training phases. We compare our approach to previously proposed static dictionary techniques and we show that we surpass them in terms of power, hit ratio and energy delay product.
Georgios Keramidas, Konstantinos Aisopos, Stefanos
Added 20 Aug 2010
Updated 20 Aug 2010
Type Conference
Year 2006
Where ARCS
Authors Georgios Keramidas, Konstantinos Aisopos, Stefanos Kaxiras
Comments (0)