Sciweavers

ICS
2010
Tsinghua U.

The auction: optimizing banks usage in Non-Uniform Cache Architectures

13 years 7 months ago
The auction: optimizing banks usage in Non-Uniform Cache Architectures
The growing influence of wire delay in cache design has meant that access latencies to last-level cache banks are no longer constant. Non-Uniform Cache Architectures (NUCAs) have been proposed to address this problem. Furthermore, an efficient last-level cache is crucial in chip multiprocessors (CMP) architectures to reduce requests to the offchip memory, because of the significant speed gap between processor and memory and the limited memory bandwidth. Therefore, a bank replacement policy that efficiently manages the NUCA cache is desirable. However, the decentralized nature of NUCA has prevented previously proposed replacement policies from being effective in this kind of caches. As banks operate independently of each other, their replacement decisions are restricted to a single NUCA bank. We propose a novel mechanism based on the bank replacement policy for NUCA caches on CMP, called The Auction. This mechanism enables the replacement decisions taken in a single bank to be spread t...
Javier Lira, Carlos Molina, Antonio Gonzále
Added 29 Sep 2010
Updated 29 Sep 2010
Type Conference
Year 2010
Where ICS
Authors Javier Lira, Carlos Molina, Antonio González
Comments (0)