Sciweavers

CAL
2007

Dynamic Predication of Indirect Jumps

13 years 4 months ago
Dynamic Predication of Indirect Jumps
Abstract—Indirect jumps are used to implement increasinglycommon programming language constructs such as virtual function calls, switch-case statements, jump tables, and interface calls. Unfortunately, the prediction accuracy of indirect jumps has remained low because many indirect jumps have multiple targets that are difficult to predict even with specialized hardware. This paper proposes a new way of handling hard-to-predict indirect jumps: dynamically predicating them. The compiler identifies indirect jumps that are suitable for predication along with their control-flow merge (CFM) points. The microarchitecture predicates the instructions between different targets of the jump and its CFM point if the jump turns out to be hardto-predict at run time. We describe the new indirect jump predication architecture, provide code examples showing why it could reduce the performance impact of jumps, derive an analytical cost-benefit model for deciding which jumps and targets to predicate...
José A. Joao, Onur Mutlu, Hyesoon Kim, Yale
Added 12 Dec 2010
Updated 12 Dec 2010
Type Journal
Year 2007
Where CAL
Authors José A. Joao, Onur Mutlu, Hyesoon Kim, Yale N. Patt
Comments (0)