Sciweavers

DAC
2008
ACM

Predictive design space exploration using genetically programmed response surfaces

14 years 5 months ago
Predictive design space exploration using genetically programmed response surfaces
Exponential increases in architectural design complexity threaten to make traditional processor design optimization techniques intractable. Genetically programmed response surfaces (GPRS) address this challenge by transforming the optimization process from a lengthy series of detailed simulations into the tractable formulation and rapid evaluation of a predictive model. We validate GPRS methodology on realistic processor design spaces and compare it to recently proposed techniques for predictive microarchitectural design space exploration. Categories and Subject Descriptors C.4 [Performance of Systems]: Modeling Techniques General Terms Design, Measurement, Performance Keywords genetic programming, predictive modeling, design space exploration
Henry Cook, Kevin Skadron
Added 12 Nov 2009
Updated 12 Nov 2009
Type Conference
Year 2008
Where DAC
Authors Henry Cook, Kevin Skadron
Comments (0)