Sciweavers

NOCS
2010
IEEE

Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems

13 years 3 months ago
Traffic- and Thermal-Aware Run-Time Thermal Management Scheme for 3D NoC Systems
—Three-dimensional network-on-chip (3D NoC), the combination of NoC and die-stacking 3D IC technology, is motivated to achieve lower latency, lower power consumption, and higher network bandwidth. However, the length of heat conduction path and power density per unit area increase as more dies stack vertically. Routers of NoC have comparable thermal impact as processors and contributes significant to overall chip temperature. High temperature increases the vulnerability of the system in performance, power, reliability, and cost. To ensure both thermal safety and less performance impact from temperature regulation, we propose a traffic- and thermal-aware run-time thermal management (RTM) scheme. The scheme is composed of a proactive downward routing and a reactive vertical throttling. Based on a validated traffic-thermal mutual-coupling co-simulator, our experiments show the proposed scheme is effective. The proposed RTM can be combined with thermal-aware mapping techniques to have po...
Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Ch
Added 29 Jan 2011
Updated 29 Jan 2011
Type Journal
Year 2010
Where NOCS
Authors Chih-Hao Chao, Kai-Yuan Jheng, Hao-Yu Wang, Jia-Cheng Wu, An-Yeu Wu
Comments (0)