Sciweavers

CICC
2011

Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network

12 years 4 months ago
Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network
Abstract—In this paper, we explore the design of on-chip switchedcapacitor (SC) DC-DC converters in the context of multicore processors, using an accurate power grid simulator. Results show that distributed design of SC converters can reduce the IR drop by up to 74% compared to the lumped design, with improved supply voltage. We also demonstrate the usage of SC converters for multi-domain power supply.
Pingqiang Zhou, Dong Jiao, Chris H. Kim, Sachin S.
Added 13 Dec 2011
Updated 13 Dec 2011
Type Journal
Year 2011
Where CICC
Authors Pingqiang Zhou, Dong Jiao, Chris H. Kim, Sachin S. Sapatnekar
Comments (0)