Sciweavers

ASPLOS
2012
ACM

Chameleon: operating system support for dynamic processors

12 years 2 days ago
Chameleon: operating system support for dynamic processors
The rise of multi-core processors has shifted performance efforts towards parallel programs. However, single-threaded code, whether from legacy programs or ones difficult to parallelize, remains important. Proposed asymmetric multicore processors statically dedicate hardware to improve sequential performance, but at the cost of reduced parallel performance. However, several proposed mechanisms provide the best-ofboth-worlds by combining multiple cores into a single, more powerful processor for sequential code. For example, Core Fusion merges multiple cores to pool caches and functional units, and Intel’s Turbo Boost raises the clock speed of a core if the other cores on a chip are powered down. These reconfiguration mechanisms have two important properties. First the set of available cores and their capabilities can vary over short time scales. Current operating systems are not designed for rapidly changing hardware: the existing hotplug mechanisms for reconfiguring processors re...
Sankaralingam Panneerselvam, Michael M. Swift
Added 20 Apr 2012
Updated 20 Apr 2012
Type Journal
Year 2012
Where ASPLOS
Authors Sankaralingam Panneerselvam, Michael M. Swift
Comments (0)