Sciweavers

TACO
2016

RFVP: Rollback-Free Value Prediction with Safe-to-Approximate Loads

8 years 1 months ago
RFVP: Rollback-Free Value Prediction with Safe-to-Approximate Loads
This paper aims to tackle two fundamental memory bottlenecks: limited off-chip bandwidth (bandwidth wall) and long access latency (memory wall). To achieve this goal, our approach exploits the inherent error resilience of a wide range of applications. We introduce an approximation technique, called Rollback-Free Value Prediction (RFVP). When certain safe-to-approximate load operations miss in the cache, RFVP predicts the requested values. However, RFVP never checks for or recovers from load value mispredictions, hence avoiding the high cost of pipeline flushes and re-executions. RFVP mitigates the memory wall by enabling the execution to continue without stalling for long-latency memory accesses. To mitigate the bandwidth wall, RFVP drops some fraction of load requests which miss in the cache after predicting their values. Dropping requests reduces memory bandwidth contention by removing them from the system. The drop rate then becomes a knob to control the tradeoff between performan...
Amir Yazdanbakhsh, Gennady Pekhimenko, Bradley Thw
Added 10 Apr 2016
Updated 10 Apr 2016
Type Journal
Year 2016
Where TACO
Authors Amir Yazdanbakhsh, Gennady Pekhimenko, Bradley Thwaites, Hadi Esmaeilzadeh, Onur Mutlu, Todd C. Mowry
Comments (0)