Sciweavers

SAMOS
2015
Springer

ESL power estimation using virtual platforms with black box processor models

8 years 9 days ago
ESL power estimation using virtual platforms with black box processor models
—Processor models for electronic system level (ESL) simulations are usually provided by their vendors as binary object code. Those binaries appear as black boxes, which do not allow to observe their internals. This prevents the application of most existing ESL power estimation methodologies. To remedy this situation, this work presents an estimation methodology for the case of black box models. The evaluation for the ARM Cortex-A9 processor shows that the proposed approach is able to achieve a high accuracy. In comparison to hardware power measurements obtained from the OMAP4460 chip on the PandaBoard, the ESL estimation error is below 5 %.
Stefan Schürmans, Gereon Onnebrink, Rainer Le
Added 17 Apr 2016
Updated 17 Apr 2016
Type Journal
Year 2015
Where SAMOS
Authors Stefan Schürmans, Gereon Onnebrink, Rainer Leupers, Gerd Ascheid, Xiaotao Chen
Comments (0)