Sciweavers

HPCA
2007
IEEE

Illustrative Design Space Studies with Microarchitectural Regression Models

14 years 4 months ago
Illustrative Design Space Studies with Microarchitectural Regression Models
We apply a scalable approach for practical, comprehensive design space evaluation and optimization. This approach combines design space sampling and statistical inference to identify trends from a sparse simulation of the space. The computational efficiency of sampling and inference enables new capabilities in design space exploration. We illustrate these capabilities using performance and power models for three studies of a 260,000 point design space: (1) pareto frontier analysis, (2) pipeline depth analysis, and (3) multiprocessor heterogeneity analysis. For each study, we provide an assessment of predictive error and sensitivity of observed trends to such error. We construct pareto frontiers and find predictions for pareto optima are no less accurate than those for the broader design space. We reproduce and enhance prior pipeline depth studies, demonstrating constrained sensitivity studies may not generalize when many other design parameters are held at constant values. Lastly, we ...
Benjamin C. Lee, David M. Brooks
Added 01 Dec 2009
Updated 01 Dec 2009
Type Conference
Year 2007
Where HPCA
Authors Benjamin C. Lee, David M. Brooks
Comments (0)