Sciweavers

ICCAD
2006
IEEE

Decoupling capacitor planning and sizing for noise and leakage reduction

14 years 1 months ago
Decoupling capacitor planning and sizing for noise and leakage reduction
—Decoupling capacitors (decaps) are a popular means for reducing power-supply noise in integrated circuits. Since the decaps are usually inserted in the whitespace of the device layer, decap management during the floorplanning stage is desirable. However, a well-known existing work only allows the blocks to utilize the adjacent whitespace. In order to overcome this limit, we devise the effective-decap-distance model to analyze how functional blocks are affected by nonneighboring decaps. In addition, we propose a generalized network-flow-based algorithm to allocate the whitespace to the blocks and determine the oxide thicknesses for the decaps to be implemented in the whitespace. Experimental results show that our decap allocation and sizing methods can significantly reduce decap budget and leakage power with a small increase in area and wire length when integrated into 2-D and 3-D floorplanners.
Eric Wong, Jacob R. Minz, Sung Kyu Lim
Added 16 Mar 2010
Updated 16 Mar 2010
Type Conference
Year 2006
Where ICCAD
Authors Eric Wong, Jacob R. Minz, Sung Kyu Lim
Comments (0)