Sciweavers

MICRO
2005
IEEE

Address-Indexed Memory Disambiguation and Store-to-Load Forwarding

13 years 10 months ago
Address-Indexed Memory Disambiguation and Store-to-Load Forwarding
This paper describes a scalable, low-complexity alternative to the conventional load/store queue (LSQ) for superscalar processors that execute load and store instructions speculatively and out-of-order prior to resolving their dependences. Whereas the LSQ requires associative and age-prioritized searches for each access, we propose that an address-indexed store-forwarding cache (SFC) perform store-to-load forwarding and that an address-indexed memory disambiguation table (MDT) perform memory disambiguation. Neither structure includes a CAM. The SFC behaves as a small cache, accessed speculatively and out-oforder by both loads and stores. Because the SFC does not rename in-flight stores to the same address, violations of memory anti and output dependences can cause in-flight loads to obtain incorrect values from the SFC. Therefore, the MDT uses sequence numbers to detect and recover from true, anti, and output memory dependence violations. We observe empirically that loads and stores...
Sam S. Stone, Kevin M. Woley, Matthew I. Frank
Added 25 Jun 2010
Updated 25 Jun 2010
Type Conference
Year 2005
Where MICRO
Authors Sam S. Stone, Kevin M. Woley, Matthew I. Frank
Comments (0)