Sciweavers

NOCS
2015
IEEE

Data Criticality in Network-On-Chip Design

8 years 12 days ago
Data Criticality in Network-On-Chip Design
Many network-on-chip (NoC) designs focus on maximizing performance, delivering data to each core no later than needed by the application. Yet to achieve greater energy efficiency, we argue that it is just as important that data is delivered no earlier than needed. To address this, we explore data criticality in CMPs. Caches fetch data in bulk (blocks of multiple words). Depending on the application’s memory access patterns, some words are needed right away (critical) while other data are fetched too soon (non-critical). On a wide range of applications, we perform a limit study of the impact of data criticality in NoC design. Criticalityoblivious designs can waste up to 37.5% energy, compared to an idealized NoC that fetches each word both no later and no earlier than needed. Furthermore, 62.3% of energy is wasted fetching data that is not used by the application. We present NoCNoC, a practical, criticality-aware NoC design that achieves up to 60.5% energy savings with no loss in per...
Joshua San Miguel, Natalie D. Enright Jerger
Added 15 Apr 2016
Updated 15 Apr 2016
Type Journal
Year 2015
Where NOCS
Authors Joshua San Miguel, Natalie D. Enright Jerger
Comments (0)