Sciweavers

MICRO
2000
IEEE

Improving BTB performance in the presence of DLLs

13 years 9 months ago
Improving BTB performance in the presence of DLLs
Dynamically Linked Libraries (DLLs) promote software modularity, portability, and flexibility and their use has become widespread. In this paper, we characterize the behavior of five applications that make heavy use of DLLs, with a particular focus on the effects of DLLs on Branch Target Buffer (BTB) performance. DLLs aggravate hot set contention in the BTB. Standard software remedies are ineffective because the DLLs are shared, compiled separately, and dynamically linked to applications. We propose a hardware technique, the DLL BTB, that adds a small second buffer to the BTB and dedicates it to storing DLL target addresses. We show that the DLL BTB performance is similar to a BTB with a victim buffer, but the DLL BTB requires no parallel lookups or datapaths between the original BTB and the added buffer.
Stevan A. Vlaovic, Edward S. Davidson, Gary S. Tys
Added 01 Aug 2010
Updated 01 Aug 2010
Type Conference
Year 2000
Where MICRO
Authors Stevan A. Vlaovic, Edward S. Davidson, Gary S. Tyson
Comments (0)