Sciweavers

APPT
2015
Springer

Improving Memory Access Performance of In-Memory Key-Value Store Using Data Prefetching Techniques

7 years 11 months ago
Improving Memory Access Performance of In-Memory Key-Value Store Using Data Prefetching Techniques
In-memory Key-Value stores (IMKVs) provide significantly higher performance than traditional disk-based counterparts. As memory technologies advance, IMKVs become practical for modern Big Data processing, which include financial services, e-commerce, telecommunication network, etc. Recently, various IMKVs have been proposed from both academia and industrial. In order to leverage high performance random access capability of main memory, most IMKVs employ hashing based index structures to retrieve data according to keys. Consequently, a regular memory access pattern can be observed in data retrieval from those IMKVs. Normally speaking, one access to index (hash table), which is also located in main memory, is followed by another memory access to value data. Such a regular access pattern provides a potential opportunity that data prefetching techniques can be employed to improve memory access efficiency for data retrieval in these IMKVs. Based on this observation, we explore various dat...
Pengfei Zhu, Guangyu Sun, Peng Wang, Mingyu Chen
Added 16 Apr 2016
Updated 16 Apr 2016
Type Journal
Year 2015
Where APPT
Authors Pengfei Zhu, Guangyu Sun, Peng Wang, Mingyu Chen
Comments (0)