Sciweavers

DATE
2006
IEEE

Lens aberration aware timing-driven placement

13 years 11 months ago
Lens aberration aware timing-driven placement
Process variations due to lens aberrations are to a large extent systematic, and can be modeled for purposes of analyses and optimizations in the design phase. Traditionally, variations induced by lens aberrations have been considered random due to their small extent. However, as process margins reduce, and as improvements in reticle enhancement techniques control variations due to other sources with increased efficacy, lens aberrationinduced variations gain importance. For example, our experiments indicate that lens aberration can result in up to 8% variation in cell delay. In this paper, we propose an aberration-aware timing-driven analytical placement approach that accounts for aberration-induced variations during placement. Our approach minimizes the design’s cycle time and prevents hold-time violations under systematic aberration-induced variations. On average, the proposed placement technique reduces cycle time by ∼ 5% at the cost of ∼ 2% increase in wirelength.
Andrew B. Kahng, Chul-Hong Park, Puneet Sharma, Qi
Added 10 Jun 2010
Updated 10 Jun 2010
Type Conference
Year 2006
Where DATE
Authors Andrew B. Kahng, Chul-Hong Park, Puneet Sharma, Qinke Wang
Comments (0)