Sciweavers

MICRO
2008
IEEE

Low-power, high-performance analog neural branch prediction

13 years 10 months ago
Low-power, high-performance analog neural branch prediction
Shrinking transistor sizes and a trend toward low-power processors have caused increased leakage, high per-device variation and a larger number of hard and soft errors. Maintaining precise digital behavior on these devices grows more expensive with each technology generation. In some cases, replacing digital units with analog equivalents allows similar computation to be performed at higher speed and lower power. The units that can most easily benefit from this approach are those whose results do not have to be precise, such as various types of predictors. We introduce the Scaled Neural Predictor (SNP), a highly accurate prediction algorithm that is infeasible in a purely digital implementation, but can be implemented using analog circuitry. Our analog implementation, the Scaled Neural Analog Predictor (SNAP), uses current summation in place of the expensive digital dot-product computation required in neural predictors. We show that the analog predictor can outperform digital neural p...
Renée St. Amant, Daniel A. Jiménez,
Added 31 May 2010
Updated 31 May 2010
Type Conference
Year 2008
Where MICRO
Authors Renée St. Amant, Daniel A. Jiménez, Doug Burger
Comments (0)