Sciweavers

IEEEPACT
2007
IEEE

Paceline: Improving Single-Thread Performance in Nanoscale CMPs through Core Overclocking

13 years 11 months ago
Paceline: Improving Single-Thread Performance in Nanoscale CMPs through Core Overclocking
Under current worst-case design practices, manufacturers specify conservative values for processor frequencies in order to guarantee correctness. To recover some of the lost performance and improve single-thread performance, this paper presents the Paceline leader-checker microarchitecture. In Paceline, a leader core runs the thread at higher-than-rated frequency, while passing execution hints and prefetches to a safely-clocked checker core in the same chip multiprocessor. The checker redundantly executes the thread faster than without the leader, while checking the results to guarantee correctness. Leader and checker cores periodically swap functionality. The result is that the thread improves performance substantially without significantly increasing the power density or the hardware design complexity of the chip. By overclocking the leader by 30%, we estimate that Paceline improves SPECint and SPECfp performance by a geometric mean of 21% and 9%, respectively. Moreover, Paceline a...
Brian Greskamp, Josep Torrellas
Added 03 Jun 2010
Updated 03 Jun 2010
Type Conference
Year 2007
Where IEEEPACT
Authors Brian Greskamp, Josep Torrellas
Comments (0)