Sciweavers

PATMOS
2015
Springer

Tejas: A java based versatile micro-architectural simulator

8 years 5 days ago
Tejas: A java based versatile micro-architectural simulator
—In this paper, we present the design of a new Java based, cycle-accurate, heterogeneous architectural simulator, Tejas. Tejas is a trace driven simulator, which is platformindependent. It can simulate binaries in any ISA and corresponding to virtually any operating system. It can itself run on virtually any machine. It is one of the fastest cycle accurate simulators available in academia. This is achieved through employing optimized data structures, improving the simulator’s cache locality, and reducing the amount of wasteful work done. Tejas offers a rich library of architectural features that are modular and highly configurable. Tejas has been validated against real hardware (Dell PowerEdge R620 server) and has been shown to be more accurate than some of the most popular architectural simulators.
Smruti R. Sarangi, Rajshekar Kalayappan, Prathmesh
Added 16 Apr 2016
Updated 16 Apr 2016
Type Journal
Year 2015
Where PATMOS
Authors Smruti R. Sarangi, Rajshekar Kalayappan, Prathmesh Kallurkar, Seep Goel, Eldhose Peter
Comments (0)